vhdl

vhdl

VHDL的英文全名是Very-High-Speed Integrated Circuit HardwareDescription Language,誕生於1982年。1987年底,VHDL被IEEE和美國國防部確認為標準硬體描述語言。自IEEE公布了VHDL的標準版本,IEEE-1076(簡稱87版)之後,各EDA公司相繼推出了自己的VHDL設計環境,或宣布自己的設計工具可以和VHDL接口。此後VHDL在電子設計領域得到了廣泛的接受,並逐步取代了原有的非標準的硬體描述語言。

基本信息

簡介

1993年,IEEE對VHDL進行了修訂,從更高的抽象層次和系統描述能力上擴展VHDL的內容,公布了新版本的VHDL,即IEEE標準的1076-1993版本,(簡稱93版)。現在,VHDL和Verilog作為IEEE的工業標準硬體描述語言,又得到眾多EDA公司的支持,在電子工程領域,已成為事實上的通用硬體描述語言。有專家認為,在新的世紀中,VHDL於Verilog語言將承擔起大部分的數字系統設計任務。
VHDL主要用於描述數字系統的結構,行為,功能和接口。除了含有許多具有硬體特徵的語句外,VHDL的語言形式和描述風格與句法是十分類似於一般的計算機高級語言。VHDL的程式結構特點是將一項工程設計,或稱設計實體(可以是一個元件,一個電路模組或一個系統)分成外部(或稱可是部分,及連線埠)和內部(或稱不可視部分),既涉及實體的內部功能和算法完成部分。在對一個設計實體定義了外部界面後,一旦其內部開發完成後,其他的設計就可以直接調用這個實體。這種將設計實體分成內外部分的概念是VHDL系統設計的基本點

vhdlvhdl

特點

與其他硬體描述語言相比,VHDL具有以下特點:功能強大、設計靈活VHDL具有功能強大的語言結構,可以用簡潔明確的原始碼來描述複雜的邏輯控制。它具有多層次的設計描述功能,層層細化,最後可直接生成電路級描述。VHDL支持同步電路、異步電路和隨機電路的設計,這是其他硬體描述語言所不能比擬的。VHDL還支持各種設計方法,既支持自底向上的設計,又支持自頂向下的設計;既支持模組化設計,又支持層次化設計。支持廣泛、易於修改由於VHDL已經成為IEEE標準所規範的硬體描述語言,大多數EDA工幾乎都支持VHDL,這為VHDL的進一步推廣和廣泛套用奠定了基礎。在硬體電路設計過程中,主要的設計檔案是用VHDL編寫的原始碼,因為VHDL易讀和結構化,所以易於修改設計。強大的系統硬體描述能力VHDL具有多層次的設計描述功能,既可以描述系統級電路,又可以描述門級電路。而描述既可以採用行為描述、暫存器傳輸描述或結構描述,也可以採用三者混合的混合級描述。另外,VHDL支持慣性延遲和傳輸延遲,還可以準確地建立硬體電路模型。VHDL支持預定義的和自定義的數據類型,給硬體描述帶來較大的自由度,使設計人員能夠方便地創建高層次的系統模型。獨立於器件的設計、與工藝無關設計人員用VHDL進行設計時,不需要首先考慮選擇完成設計的器件,就可以集中精力進行設計的最佳化。當設計描述完成後,可以用多種不同的器件結構來實現其功能。很強的移植能力VHDL是一種標準化的硬體描述語言,同一個設計描述可以被不同的工具所支持,使得設計描述的移植成為可能。易於共享和復用VHDL採用基於庫(Library)的設計方法,可以建立各種可再次利用的模組。這些模組可以預先設計或使用以前設計中的存檔模組,將這些模組存放到庫中,就可以在以後的設計中進行復用,可以使設計成果在設計人員之間進行交流和共享,減少硬體電路設計。

優勢

(1)與其他的硬體描述語言相比,VHDL具有更強的行為描述能力,從而決定了他成為系統設計領域最佳的硬體描述語言。強大的行為描述能力是避開具體的器件結構,從邏輯行為上描述和設計大規模電子系統的重要保證。
(2)VHDL豐富的仿真語句和庫函式,使得在任何大系統的設計早期就能查驗設計系統的功能可行性,隨時可對設計進行仿真模擬。
(3)VHDL語句的行為描述能力和程式結構決定了他具有支持大規模設計的分解和已有設計的再利用功能。符合市場需求的大規模系統高效,高速的完成必須有多人甚至多個代發組共同並行工作才能實現。
(4)對於用VHDL完成的一個確定的設計,可以利用EDA工具進行邏輯綜合和最佳化,並自動的把VHDL描述設計轉變成門級網表。
(5)VHDL對設計的描述具有相對獨立性,設計者可以不懂硬體的結構,也不必管理最終設計實現的目標器件是什麼,而進行獨立的設計。

簡例

---VHDLExample
libraryieee;
useieee.std_logic_1164.all;--庫聲明
entityTONEis
port(A,B:instd_logic;--實體定義
C:outstd_logic);
endTONE;
architectureEXofTONEis--結構體定義
begin
C<=AORB;
endEX;
VHDL不區分大小寫

相關條目

計算機語言電腦描述語言 晶片

相關詞條

相關搜尋

熱門詞條

聯絡我們