電子設計自動化

電子設計自動化

電子設計自動化(EDA:Electronic Design automation)是將計算機技術套用於電子設計過程中而形成的一門新技術,它已經被廣泛套用於電子電路的設計和仿真,積體電路的版圖設計、印刷電路板的設計和可程式器件的編程等各項工作中。 模擬積體電路以及混合電路設計自動化的發展尚不成熟,能提供主要的自動化功能的軟體有Cadece Virtuoso和BtEDA。

歷史與發展

在電子設計自動化出現之前,設計人員必須手工完成積體電路的設計、布線等工作,這是因為當時所謂積體電路的複雜程度遠不及現在。工業界開始使用幾何學方法來製造用於電路光繪(photoplotter)的膠帶。到了1970年代中期,開發人應嘗試將整個設計過程自動化,而不僅僅滿足於自動完成掩膜草圖。第一個電路布局、布線工具研發成功。設計自動化會議(Design Automation Conference)在這一時期被創立,旨在促進電子設計自動化的發展。

電子設計自動化發展的下一個重要階段以卡弗爾·米德(Carver Mead)和琳·康維於1980年發表的論文《超大規模積體電路系統導論》(Introduction to VLSI Systems)為標誌。這一篇具有重大意義的論文提出了通過程式語言來進行晶片設計的新思想。如果這一想法得到實現,晶片設計的複雜程度可以得到顯著提升。這主要得益於用來進行積體電路邏輯仿真、功能驗證的工具的性能得到相當的改善。隨著計算機仿真技術的發展,設計項目可以在構建實際硬體電路之前進行仿真,晶片布局、布線對人工設計的要求降低,而且軟體錯誤率不斷降低。直至今日,儘管所用的語言和工具仍然不斷在發展,但是通過程式語言來設計、驗證電路預期行為,利用工具軟體綜合得到低抽象級(或稱“後端”)物理設計的這種途徑,仍然是數字積體電路設計的基礎。

從1981年開始,電子設計自動化逐漸開始商業化。1984年的設計自動化會議(Design Automation Conference)上還舉辦了第一個以電子設計自動化為主題的銷售展覽。Gateway設計自動化在1986年推出了一種硬體描述語言Verilog,這種語言在現在是最流行的高級抽象設計語言。1987年,在美國國防部的資助下,另一種硬體描述語言VHDL被創造出來。現代的電子設計自動化設計工具可以識別、讀取不同類型的硬體描述。根據這些語言規範產生的各種仿真系統迅速被推出,使得設計人員可對設計的晶片進行直接仿真。後來,技術的發展更側重於邏輯綜合。

目前的數字積體電路的設計都比較模組化(參見積體電路設計、設計收斂(Design closure)和設計流(Design flow (EDA)))。半導體器件製造工藝需要標準化的設計描述,高抽象級的描述將被編譯為信息單元(cell)的形式。設計人員在進行邏輯設計時尚無需考慮信息單元的具體硬體工藝。利用特定的積體電路製造工藝來實現硬體電路,信息單元就會實施預定義的邏輯或其他電子功能。半導體硬體廠商大多會為它們製造的元件提供“元件庫”,並提供相應的標準化仿真模型。相比數字的電子設計自動化工具,模擬系統的電子設計自動化工具大多並非模組化的,這是因為模擬電路的功能更加複雜,而且不同部分的相互影響較強,而且作用規律複雜,電子元件大多沒有那么理想。Verilog AMS就是一種用於模擬電子設計的硬體描述語言。此文,設計人員可以使用硬體驗證語言來完成項目的驗證工作目前最新的發展趨勢是將集描述語言、驗證語言集成為一體,典型的例子有SystemVerilog。

隨著積體電路規模的擴大、半導體技術的發展,電子設計自動化的重要性急劇增加。這些工具的使用者包括半導體器件製造中心的硬體技術人員,他們的工作是操作半導體器件製造設備並管理整個工作車間。一些以設計為主要業務的公司,也會使用電子設計自動化軟體來評估製造部門是否能夠適應新的設計任務。電子設計自動化工具還被用來將設計的功能導入到類似現場可程式邏輯門陣列的半定製可程式邏輯器件,或者生產全定製的專用積體電路。

圖書信息

電子設計自動化電子設計自動化

書 名: 電子設計自動化

作者:王振宇

出版社: 電子工業出版社

出版時間: 2007年02月

ISBN: 9787121038228

開本: 16開

定價: 27.00 元

內容簡介

本教材將眾多的EDA工具軟體分為三類:電路圖和印刷電路圖設計軟體、電子電路仿真軟體和可程式邏輯器件開發軟體。根據培養對象及專業知識需求,精選並詳細介紹了三大類中具有代表性的3中軟體:Protel DXP 2004,Tina Pro中文學生特別版和QUARTUS 5.0。這3種軟體是電子設計工程師必須掌握的軟體,也是電子信息工程專業高職學生任職必須具備的知識。

圖書目錄

電子系統設計篇

第1章 電子系統及設計自動化概論

第2章 Protel DXP2004電原理圖設計基礎

第3章Protel DXP2004電原理圖設計進階

第4章 Protel DXP2004印製電路板設計

第5篇 Tina Pro基礎知識

第6章 Tina Pro設計與分析

第7章 QuartusⅡ5.0基本套用

參考文獻

文摘

插圖:

用於傳統數字系統設計的基本器件主要為標準邏輯器件,如TTL74,系列和CMOS4000系列等。標準邏輯器件的主要缺點是邏輯規模小、功耗大、可靠性低。設計一個數字系統往往要用多片標準器件,因此數字系統布局布線複雜,占用的印製電路板面積較大。

20世紀70年代,世界各半導體廠家竟相開發了專用積體電路(Application Specific IntegratedCircuit,ASIC)產品。ASIC可分為全定製、半定製和可程式邏輯器件(Programmable Logic Device。PLD)三大類。其中,半定製、全定製ASIC產品的開發需要半導體廠家參與,設計周期長,開發費用高。而PLD的設計開發不需要半導體廠家的參與,適用於一般設計者使用,是積體電路中發展最快的器件之一。PLD器件與標準邏輯器件相比,其主要特點是:

(1)邏輯規模大。PLD器件已進人大規模和超大規模積體電路時代。一片PLD器件的規模可達幾十萬甚至上百萬邏輯門。用一片:PLD器件就可實現一個數字系統,使電子產品體積小、功耗低、可靠性高。

(2)硬體的軟設計。採用PLD器件設計數字系統的主要工作是利用計算機及PLD開發軟體進行邏輯設計、功能仿真,可大大降低系統設計成本。此外,還可利用最佳化元件庫或專用模組庫進行設計,提高設計效率,縮短設計周期。

(3)在採用PLD器件設計邏輯電路時,設計者需要利用PLD器件開發軟體和硬體。PLD器件開發軟體根據設計要求,可自動進行邏輯電路設計輸入、編譯、邏輯劃分、最佳化和模擬,得到一個滿足設計要求的PLD編程數據。邏輯功能模擬通過後,還需將PLD編程數據下載到PLD器件中,使PLD器件具有設計所要求的邏輯功能。

序言

隨著大規模積體電路和電子計算機技術的發展,電子產品設計方法發生了根本性的變革,以.電子計算機輔助分析和設計為基礎的電子設計自動化(Electronic Design Auntomation,EDA)技術已廣泛用於積體電路與數字系統的設計中。電子設計自動化技術已成為現代電子系統設計的關鍵技術,是新一代電子設計工程師以及從事電子技術開發和研究人員的必備技能。

隨著電子產品的集成化和複雜程度的提高,採用先進的電子器件和電子電路設計方法,可以大幅度縮短產品設計周期,並使設計產品小型化、低功耗、高速度、高性能,提高產品的競爭能力。

了解新的設計技術應當儘快掌握它的設計流程。本教材在編寫時以實踐為基礎,以詳細的實例讓初學者了解基於QuartusⅡ和NiosⅡIDE的可程式邏輯器件和片上系統(System On aProgrammable Chip,SOPC)開發的基本流程,目的是為了讓初學者儘快了解可程式邏輯器件先進的設計方法、基本開發流程和常用設計開發手段。

本教材共七章。第1章為CPLD/FPGA的基本知識,初學者應先了解這部分內容。第2章以兩個實例讓初學者了解CPLD/FPGA基於硬體描述語言和原理圖的基本開發流程,並熟悉Qu.artusⅡ軟體的基本使用。第3章較詳細地介紹了VHDL語法和使用實例,可用於CPLD/FPGA小規模數字系統設計開發或底層模組設計。第4章通過實例較詳細地介紹了狀態機設計方法,使初學者了解較大規模數字系統的設計方法。第5章通過實例詳細介紹了QuartusⅡ軟體的LPM參數化宏模組、存儲器、嵌入式鎖相環、嵌入式邏輯分析儀SignalTapⅡ等多種邏輯設計流程以及層次化設計流程,它主要適用於較大規模FPGA設計開發。第6章通過一個實例詳細介紹了NiosⅡ嵌入式系統軟、硬體設計流程,它主要適用於在大容量FPGA中嵌入微處理器數字系統的設計開發。第7章通過3個數字系統設計實例,介紹了基於可程式邏輯器件進行數字系統設計的完整流程。

本教材由楊靜、游周密編寫,沈明山負責部分實例的編寫和驗證。楊靜負責全書的統稿。

本教材初稿由王毓銀教授審閱和修改,提出了許多寶貴意見。在此表示衷心的感謝。

由於電子設計自動化技術發展迅速,作者水平有限,本教材一定還存在不少缺點和不足之處,殷切期望讀者指正。

目錄

第1章緒論

1.1引言

1.2可程式邏輯器件(PLD)

1.3EDA技術的發展

第2章可程式邏輯器件基礎

2.1可程式邏輯器件的分類

2.1.1可程式邏輯器件的集成度分類

2.1.2可程式邏輯器件的其他分類方法

2.2PLD器件的基本結構

2.2.1與或陣列

2.2.2宏單元

2.3可程式元件

2.3.1熔絲開關和反熔絲開關

2.3.2浮柵編程技術

2.3.3SRAM配置存儲器

2.4可程式邏輯器件的編程及測試技術

2.4.1在系統可程式技術

2.4.2邊界掃描測試技術(BoundaryScan:Test)

第3章可程式邏輯器件

3.1Altera器件綜述

3.2AlteraFLEXl0K系列晶片

3.2.1FLEXl0K系列器件簡介

3.2.2結構特點與功能描述

3.3AlteraAPEX20系列晶片

3.3.1APEX系列器件簡介

3.3.2APEX20K系列的特點

3.4XilinxXC9500系列器件

3.4.1XC9500系列器件簡介

3.4.2XC9500系列器件的特點

3.5在系統可程式(ISP)邏輯器件

第4章VHDL設計方法

4.1VHDL設計概述

4.1.1VHDL的優勢

4.1.2VHDL的設計步驟

4.2VHDL的模型結構

4.2.1設計實體(DesignEntities)

4.2.2實體說明(EntitvDeclarations)

4.2.3構造體

4.3VHDL語言的基本要素

4.3.1標識符

……

第5章狀態機設計

第6章MAX+PLUSⅡ操作簡介

第7章邏輯綜合和設計實現

第8章數字系統設計與現場集成技巧

第9章EDA綜合設計實例

相關詞條

相關搜尋

熱門詞條

聯絡我們