數字系統的Verilog HDL設計

數字系統的Verilog HDL設計

《數字系統的Verilog HDL設計》是2007年由機械工業出版社出版的圖書。

基本信息

內容介紹

本書以硬體描述語言為工具,介紹了數字電路及系統的設計方法。本書內容包括數制與編碼、邏輯代數與Verilog HDL基礎、門電路、組合邏輯電路、觸發器、時序邏輯電路、半導體存儲器、數模與模數轉換、數字系統設計、可程式邏輯器件、Verilog HDL仿真和Verilog HDL綜合與最佳化。
書中還列舉了大量的基於Verilog HDL的門電路、觸發器、組合邏輯電路、時序邏輯電路、存儲器和數字系統設計的實例,供讀者參考。每個設計實例都經過了電子設計自動化(EDA)軟體的編譯和仿真,確保無誤。每章後均附有思考題和習題。
本教材可作為高等院校電子、信息、通信、自動化類專業的數字電子技術、EDA技術、硬體描述語言等相關課程的教材和相關工程技術人員的參考資料。

相關詞條

相關搜尋

熱門詞條

聯絡我們