《EDA技術實用教程》

《EDA技術實用教程》

《EDA技術實用教程》,計算機教材,清華大學出版社出版,作者是黃仁欣。以掌握國內外最流行的電子設計自動化(EDA)技術為教學目標,以培養學生的設計和套用開發能力為主線,系統地介紹EDA套用技術。

基本信息

《EDA技術實用教程》EDA技術實用教程
【名稱】《EDA技術實用教程》
【定價】¥29.00
【作者】黃仁欣
【出 版 社】 清華大學出版社
【書 號】 7302137730
【出版日期】2006 年9月
【開 本】 185×260
【頁 碼】 324
【版 次】1-1
【所屬分類】 計算機 > 計算機控制與仿真 > 電路設計 > Protel/EDA
工業技術 > 電工技術 > 電子電路、線路
教材 > 研究生/本科/專科教材 > 工學 > 計算機
教材 > 計算機教材 > 本科/研究生 > 計算機控制仿真

內容簡介

本書以掌握國內外最流行的電子設計自動化(EDA)技術為教學目標,以培養學生的設計和套用開發能力為主線,系統地介紹EDA套用技術
全書在取材和編排上,內容新穎、循序漸進,並注重理論聯繫實際。全書共10章,主要內容包括 VHDL硬體描述語言、Quartus II等EDA工具軟體、可程式邏輯器件、實驗開發系統、套用實例和綜合設計實例。第4章對大量常規的數字電路做出了VHDL描述,第7章詳細闡述了9個典型數字系統的設計方法,第9章選取了16個實驗實例,第10章給出了4個代表性的全國大學生電子設計競賽賽題設計實例。讀者完全可以通過這些實際操作,很好地掌握EDA的開發設計方法。每章後面附有小結和習題,便於讀者學習和教學使用。為方便教師教學,本書配有電子教案。
本書可作為高職高專及本科院校電子信息、電氣、通信、自動控制、自動化和計算機類專業的EDA技術教材,也可作為上述學科或相關學科工程技術人員的參考書。還可作為電子產品製作、科技創新實踐、EDA課程設計和畢業設計等實踐活動的指導書。

目錄介紹

目 錄

第1章 EDA技術概述1
1.1 EDA技術及其發展1
1.1.1 EDA技術的涵義1
1.1.2 EDA技術的發展史2
1.2 EDA設計流程3
1.3 EDA技術的主要內容及主要
的EDA廠商6
1.3.1 EDA技術的主要內容6
1.3.2 主要EDA廠商概述8
1.4 常用的EDA工具9
1.5 EDA技術的發展趨勢11
1.5.1 可程式器件的發展趨勢11
1.5.2 軟體開發工具的發展趨勢12
1.5.3 輸入方式的發展趨勢13
1.6 EDA 技術的套用14
1.6.1 EDA技術的套用形式14
1.6.2 EDA技術的套用場合14
本章小結15
思考題和習題16
第2章 VHDL硬體描述語言17
2.1 VHDL概述17
2.1.1 常用硬體描述語言簡介17
2.1.2 VHDL及其優點17
2.1.3 VHDL程式設計約定19
2.1.4 VHDL程式設計舉例19
2.2 VHDL 程式基本結構20
2.2.1 實體21
2.2.2 結構體23
2.2.3 庫26
2.2.4 程式包27
2.2.5 配置28
2.3 VHDL語言要素29
2.3.1 VHDL文字規則29
2.3.2 VHDL數據對象31
2.3.3 VHDL數據類型32
2.3.4 運算操作符34
2.3.5 VHDL語言結構體
的描述方式36
2.4 VHDL順序語句39
2.4.1 等待語句和斷言語句39
2.4.2 賦值語句40
2.4.3 轉向控制語句42
2.4.4 子程式調用語句47
2.4.5 返回語句48
2.5 VHDL並行語句49
2.5.1 進程語句49
2.5.2 塊語句51
2.5.3 並行信號賦值語句52
2.5.4 並行過程調用語句54
2.5.5 元件例化語句55
2.5.6 生成語句56
本章小結59
思考題和習題60
第3章 Quartus?Ⅱ軟體及其套用63
3.1 Quartus Ⅱ的使用及設計流程63
3.1.1 Quartus Ⅱ的圖形編輯
輸入法63
3.1.2 Quartus?Ⅱ的文本編輯
輸入法71
3.2 Quartus Ⅱ設計正弦信號發生器72
3.2.1 創建工程和編輯設計檔案72
3.2.2 編譯75
3.2.3 正弦信號數據ROM定製77
3.2.4 仿真80
3.2.5 測試81
3.2.6 配置器件86
3.3 MATLAB/DSP Builder設計可控正弦
信號發生器87
3.3.1 建立設計模型88
3.3.2 Simulink模型仿真92
3.3.3 SignalCompiler編譯94
3.3.4 使用Quartus?Ⅱ實現時序
仿真95
3.3.5 使用Quartus?Ⅱ進行硬體測試
與硬體實現96
本章小結96
思考題和習題97
第4章 VHDL套用實例98
4.1 組合邏輯電路設計98
4.1.1 基本門電路98
4.1.2 解碼器99
4.1.3 編碼器101
4.1.4 數值比較器104
4.1.5 數據選擇器104
4.1.6 算術運算電路106
4.1.7 三態門及匯流排緩衝器108
4.2 時序邏輯電路設計110
4.2.1 時鐘信號和復位信號110
4.2.2 觸發器112
4.2.3 暫存器和移位暫存器115
4.2.4 計數器119
4.2.5 序列信號發生器
和檢測器122
4.3 存儲器設計124
4.3.1 唯讀存儲器ROM125
4.3.2 隨機存儲器RAM126
4.4 狀態機設計127
4.4.1 摩爾型狀態機128
4.4.2 米立型狀態機129
本章小結130
思考題和習題131
第5章 大規模可程式邏輯器件132
5.1 可程式邏輯器件概述132
5.2 簡單可程式邏輯器件134
5.3 複雜可程式邏輯器件136
5.3.1 CPLD的基本結構136
5.3.2 Altera公司的器件137
5.4 現場可程式門陣列142
5.4.1 FPGA的整體結構143
5.4.2 Xilinx公司的FPGA
器件144
5.4.3 FPGA的配置148
5.5 在系統可程式邏輯器件149
5.5.1 ispLSI/pLSI的結構149
5.5.2 Lattice 公司ispLSI系列
器件152
5.6 FPGA和CPLD的開發套用選擇153
5.6.1 FPGA和CPLD的性能
比較153
5.6.2 FPGA和CPLD的開發套用
選擇153
本章小結156
思考題和習題157
第6章 常用EDA工具軟體158
6.1 Altera MAX+plus?Ⅱ的使用158
6.1.1 MAX+plus?Ⅱ功能簡介158
6.1.2 MAX+plus?Ⅱ設計流程161
6.1.3 MAX+plus?Ⅱ設計舉例161
6.2 Xilinx Foundation的使用170
6.2.1 Foundation設計流程170
6.2.2 Foundation設計舉例172
6.3 modelsim的使用178
6.3.1 ModelSim的使用方法178
6.3.2 ModelSim與MAX+plus?Ⅱ
的接口182
6.3.3 ModelSim互動命令方式
仿真183
6.3.4 ModelSim批處理
工作方式184
本章小結184
思考題和習題185
第7章 EDA技術綜合設計套用186
7.1 數字鬧鐘的設計186
7.1.1 系統的設計要求186
7.1.2 系統的總體設計187
7.1.3 鬧鐘控制器的設計189
7.1.4 解碼器的設計193
7.1.5 鍵盤緩衝器(預置暫存器)
的設計194
7.1.6 鬧鐘暫存器的設計195
7.1.7 時間計數器的設計196
7.1.8 顯示驅動器的設計197
7.1.9 分頻器的設計199
7.1.10 系統的整體組裝200
7.1.11 系統的硬體驗證201
7.2 多功能信號發生器的設計201
7.2.1 設計要求201
7.2.2 設計實現202
7.2.3 系統仿真206
7.3 序列檢測器的設計207
7.3.1 設計思路207
7.3.2 VHDL程式實現208
7.3.3 硬體邏輯驗證208
7.4 交通燈信號控制器的設計209
7.4.1 設計思路209
7.4.2 VHDL程式實現209
7.4.3 硬體邏輯驗證210
7.5 空調系統有限狀態自動機的設計211
7.5.1 設計思路211
7.5.2 VHDL程式實現211
7.6 電梯控制系統的設計212
7.6.1 設計要求212
7.6.2 設計實現212
7.6.3 系統仿真217
7.7 步進電機控制電路的設計217
7.7.1 步進電機的工作原理218
7.7.2 驅動電路的組成及VHDL
實現219
7.8 智力競賽搶答器的設計220
7.8.1 設計思路220
7.8.2 VHDL程式實現221
7.9 單片機與FPGA/CPLD匯流排接口
的設計225
7.9.1 設計思路225
7.9.2 VHDL程式實現226
本章小結228
思考題和習題228
第8章 EDA實驗開發系統231
8.1 GW48型EDA實驗開發系統原理
與使用231
8.1.1 系統性能及使用
注意事項231
8.1.2 系統工作原理232
8.1.3 系統主機板結構與使用
方法233
8.2 GW48實驗電路結構圖239
8.2.1 實驗電路信號資源符號圖
說明239
8.2.2 各實驗電路結構圖特點
與適用範圍簡述239
8.3 GW48系統結構圖信號名
與晶片引腳對照表247
8.4 GWDVP-B電子設計競賽套用板
使用說明252
8.5 GW48型EDA實驗開發系統使用
實例254
本章小結257
思考題和習題257
第9章 EDA技術實驗258
實驗1 8位全加器的設計258
實驗2 組合邏輯電路的設計260
實驗3 觸發器功能的模擬實現262
實驗4 計數器的設計264
實驗5 計數解碼顯示電路266
實驗6 數字鐘綜合實驗270
實驗7 序列檢測器的設計271
實驗8 簡易彩燈控制器274
實驗9 正負脈寬數控調製信號發生器
的設計275
實驗10 數字秒表的設計278
實驗11 交通燈信號控制器的設計281
實驗12 模擬信號檢測284
實驗13 4位十進制頻率計設計285
實驗14 VGA顯示器彩條信號發生器
設計288
實驗15 A/D轉換控制器的設計292
實驗16 音樂發生器的設計294
第10章 EDA技術在全國大學生 電子設計競賽中的套用300
10.1 等精度頻率計設計300
10.1.1 系統設計要求300
10.1.2 系統組成300
10.1.3 工作原理301
10.1.4 FPGA開發的VHDL
設計303
10.1.5 系統仿真306
10.1.6 系統測試與硬體驗證307
10.1.7 設計技巧分析及系統擴展
思路308
10.2 測相儀設計309
10.2.1 測相儀工作原理及實現309
10.2.2 系統測試310
10.3 基於DDS的數字移相正弦信號
發生器設計311
10.3.1 系統設計要求311
10.3.2 系統設計方案311
10.3.3 DDS內部主要模組
的VHDL程式實現312
10.3.4 系統仿真與硬體驗證315
10.3.5 設計技巧分析與系統擴展
思路317
10.4 邏輯分析儀設計318
10.4.1 設計任務318
10.4.2 設計基本要求318
10.4.3 設計實現319
附錄 常用FPGA/CPLD管腳圖322
參考文獻325
VI
EDA技術實用教程
V
目錄

相關詞條

相關搜尋

熱門詞條

聯絡我們