EDA技術實用教程

EDA技術實用教程

《EDA技術實用教程》是2012年人民郵電出版社出版的圖書,作者是朱娜、張金保、王志強、李建利。

基本信息

內容簡介

本書以掌握國內外最流行的電子設計自動化(EDA)技術為教學目標,以培養學生的創新意識為主導,以實例仿真與動手訓練為主線,系統地介紹了可程式邏輯器件、EDA及其套用設計技術。

本書介紹了EDA技術各個方面軟體的功能、特點和使用方法,用一些簡單的實例使學生能快速掌握各種EDA技術軟體的使用方法,重點培養學生的電路分析、設計和套用開發能力。主要內容包括:EDA技術導論、電子工作平台(EWB)的套用、可程式邏輯器件、EDA的開發工具(MAX+plus Ⅱ)、VHDL硬體描述語言、VHDL程式設計基礎、EDA技術綜合套用設計實例、EDA技術實驗、印製電路板的設計(Protel 99 SE)和SOPC實驗實例。

本書為EDA設計的基礎教材,可供高等院校的機電工程、信息類和電類各專業的本科生使用。鑒於本書的實用性和套用性突出,還可以作為高職高專院校的EDA教材,也可作為廣大工程技術人員的參考書。

圖書目錄

第1章 EDA技術概述

1.1 EDA技術及其發展

1.1.1 EDA技術的涵義

1.1.2 EDA技術的發展史

1.2 EDA設計流程

1.3 EDA技術的主要內容及主要的EDA廠商

1.3.1 EDA技術的主要內容

1.3.2 主要EDA廠商概述

1.4 常用的EDA工具

1.5 EDA技術的發展趨勢

1.5.1 可程式器件的發展趨勢

1.5.2 軟體開發工具的發展趨勢

1.5.3 輸入方式的發展趨勢

1.6 EDA技術的套用

1.6.1 EDA技術的套用形式

1.6.2 EDA技術的套用場合

本章小結

思考題和習題

第2章 VHDL硬體描述語言

2.1 VHDL概述

2.1.1 常用硬體描述語言簡介

2.1.2 VHDL及其優點

2.1.3 VHDL程式設計約定

2.1.4 VHDL程式設計舉例

2.2 VHDL程式基本結構

2.2.1 實體

2.2.2 結構體

2.2.3 庫

2.2.4 程式包

2.2.5 配置

2.3 VHDL語言要素

2.3.1 VHDL文字規則

2.3.2 VHDL數據對象

2.3.3 VHDL數據類型

2.3.4 運算操作符

2.3.5 VHDL語言結構體的描述方式

2.4 VHDL順序語句

2.4.1 等待語句和斷言語句

2.4.2 賦值語句

2.4.3 轉向控制語句

2.4.4 子程式調用語句

2.4.5 返回語句

2.5 VHDL並行語句

2.5.1 進程語句

2.5.2 塊語句

2.5.3 並行信號賦值語句

2.5.4並行過程調用語句

2.5.5 元件例化語句

2.5.6 生成語句

本章小結

思考題和習題

第3章 Quartus Ⅱ軟體及其套用

3.1 Quartus Ⅱ的使用及設計流程

3.1.1 Quartus Ⅱ的圖形編輯輸入法

3.1.2 Quartus Ⅱ的文本編輯輸入法

3.2 Quartus Ⅱ設計正弦信號發生器

3.2.1 創建工程和編輯設計檔案

3.2.2 編譯

3.2.3 正弦信號數據ROM定製

3.2.4 仿真

3.2.5 測試

3.2.6 配置器件

3.3 MATLAB/DSP Builder設計可控正弦信號發生器

3.3.1 建立設計模型

3.3.2 Simulink模型仿真

3.3.3 SignalCompiler編譯

3.3.4 使用Quartus Ⅱ實現時序仿真

3.3.5 使用Quartus Ⅱ進行硬體測試

與硬體實現

本章小結

思考題和習題

第4章VHDL套用實例

4.1 組合邏輯電路設計

4.1.1 基本門電路

4.1.2 解碼器

4.1.3 編碼器

4.1.4數值比較器

4.1.5 數據選擇器

4.1.6 算術運算電路

4.1.7 三態門及匯流排緩衝器

4.2 時序邏輯電路設計

4.2.1 時鐘信號和復位信號

4.2.2 觸發器

4.2.3 暫存器和移位暫存器

4.2.4 計數器

4.2.5 序列信號發生器和檢測器

4.3 存儲器設計

4.3.1 唯讀存儲器ROM

4.3.2 隨機存儲器RAM

4.4 狀態機設計

4.4.1 摩爾型狀態機

4.4.2 米立型狀態機

本章小結

思考題和習題

第5章大規模可程式邏輯器件

5.1 可程式邏輯器件概述

5.2 簡單可程式邏輯器件

5.3 複雜可程式邏輯器件

5.3.1 CPLD的基本結構

5.3.2 Altera公司的器件

5.4 現場可程式門陣列

5.4.1 FPGA的整體結構

5.4.2 Xilinx公司的’FPGA器件

5.4.3 FPGA的配置

5.5 在系統可程式邏輯器件

5.5.1 ispLsI/pLSI的結構

5.5.2 Lattice公司ispLSI系列器件

5.6 FPGA和CPI。D的開發套用選擇

5.6.1 FPGA和CPL|D的性能比較

5.6.2 FPGA和CPLD的開發套用選擇

本章小結

思考題和習題

第6章 常用印A工具軟體

6.1 Altera MAX+plus Ⅱ的使用

6.1.1 MAX+plus Ⅱ功能簡介

6.1.2 MAX+plus Ⅱ設計流程

6.1.3 MAX+plus Ⅱ設計舉例

6.2 Xilinx Foundation的使用

6.2.1 Foundation設計流程

6.2.2.Foundation設計舉例

6.3modelsim的使用

6.3.1 ModelSim的使用方法

6.3.2 ModelSim與MAX-+Iplus Ⅱ的接口

6.3.3 ModelSim互動命令方式仿真

6.3.4 ModelSim批處理工作方式。

本章小結

思考題和習題

第7章 EDA技術綜合設計套用

7.1 數字鬧鐘的設計

7.1.1 系統的設計要求

7.1.2 系統的總體設計

7.1.3 鬧鐘控制器的設計

7.1.4 解碼器的設計

7.1.5 鍵盤緩衝器(預置暫存器)的設計

7.1.6 鬧鐘暫存器的設計

7.1.7 時間計數器的設計

7.1.8 顯示驅動器的設計

7.1.9 分頻器的設計

7.1.10 系統的整體組裝

7.1.11 系統的硬體驗證

7.2 多功能信號發生器的設計

7.2.1 設計要求

7.2.2 設計實現

7.2.3 系統仿真

7.3 序列檢測器的設計

7.3.1 設計思路

7.3.2 VHDL程式實現

7.3.3 硬體邏輯驗證

7.4 交通燈信號控制器的設計

7.4.1 設計思路

7.4.2 VHDL程式實現

7.4.3 硬體邏輯驗證

7.5 空調系統有限狀態自動機的設計

7.5.1 設計思路

7.5.2 VHDL程式實現

7.6 電梯控制系統的設計

7.6.1 設計要求

7.6.2 設計實現

7.6.3 系統仿真

7.7 步進電機控制電路的設計

7.7.1 步進電機的工作原理

7.7.2 驅動電路的組成及VHDL實現

7.8 智力競賽搶答器的設計

7.8.1 設計思路

7.8.2 VHDL程式實現

7.9 單片機與FPGA/CPLD匯流排接口的設計

7.9.1 設計思路

7.9.2 VHDL程式實現

本章小結

思考題和習題

第8章 EDA實驗開發系統

8.1 GW48型EDA實驗開發系統原理與使用

8.1.1 系統性能及使用注意事項

8.1.2 系統工作原理

8.1.3 系統主機板結構與使用方法

8.2 GW48實驗電路結構圖

8.2.1 實驗電路信號資源符號圖說明

8.2.2各實驗電路結構圖特點與適用範圍簡述

8.3 GW48系統結構圖信號名與晶片引腳對照表

8.4 GWDVP—B電子設計競賽套用板 使用說明

8.5 GW48型EDA實驗開發系統使用實例

本章小結

思考題和習題

第9章 EDA技術實驗

實驗1 8位全加器的設計

實驗2 組合邏輯電路的設計

實驗3 觸發器功能的模擬實現

實驗4 計數器的設計

實驗5 計數解碼顯示電路

實驗6 數字鐘綜合實驗

實驗7 序列檢測器的設計

實驗8 簡易彩燈控制器

實驗9 正負脈寬數控調製信號發生器的設計

實驗10 數字秒表的設計

實驗11 交通燈信號控制器的設計

實驗12 模擬信號檢測

實驗13 4位十進制頻率計設計

實驗14 VGA顯示器彩條信號發生設計

實驗15 A/D轉換控制器的設計

實驗16 音樂發生器的設計

第10章 EDA技術在全國大學生電子設計競賽中的套用

10.1 等精度頻率計設計

10.1.l 系統設計要求

10.1.2 系統組成

10.1.3 工作原理

10.1.4 FPGA開發的VHDL設計

10.1.5 系統仿真

10.1.6 系統測試與硬體驗證

10.1.7 設計技巧分析及系統擴展思路

10.2 測相儀設計

10.2.1 測相儀工作原理及實現

10.2.2 系統測試

10.3 基於DDS的數字移相正弦信號發生器設計

10.3.1 系統設計要求

10.3.2 系統設計方案

10.3.3 DDS內部主要模組的VHDL程式實現

10.3.4 系統仿真與硬體驗證

10.3.5 設計技巧分析與系統擴展思路

10.4 邏輯分析儀設計

10.4.1 設計任務

lO.4.2 設計基本要求

10.4.3 設計實現

附錄 常用FPGA/CPLD管腳圖

參考文獻

相關詞條

相關搜尋

熱門詞條

聯絡我們