QEP

QEP(Quadrature Encoder Pulse),是指正交編碼脈衝電路,TI公司DSP中事件管理器內的一個模組。QEP電路被使能後,可以對引腳CAP1/QEP1和CAP2/QEP2上輸入的正交編碼脈衝信號進行解碼和計數,QEP電路一般用於旋轉機械的光電編碼器接口,以獲得其位置和速率信息。

QEP電路被使能後,可以對引腳CAP1/QEP1和CAP2/QEP2上輸入的正交編碼脈衝信號進行解碼和計數,QEP電路一般用於旋轉機械的光電編碼器接口,以獲得其位置和速率信息。

相關詞條

相關搜尋

熱門詞條

聯絡我們